编译时出现了以下错误提示:Error(10170): Verilog HDL syntax error at dec4()16x.v(5)near text 3; expecting an identifier代码中的第5行为“output reg[15:0] 3yn”这里代码的错误可能是什么?


编译时出现了以下错误提示:Error(10170): Verilog HDL syntax error at dec4()16x.v(5)near text 3; expecting an identifier代码中的第5行为“output reg[15:0] 3yn”这里代码的错误可能是什么?

A.变量类型定义错误

B.赋值方式错误

C.标识符定义不合规范

D.语句结尾漏了“:”

正确答案:标识符定义不合规范


Tag:EDA技术与Verilog 标识符 定义 时间:2022-01-19 15:00:33