VHDL的元件例化语句用于建立端口之间映射关系的常用方式()


VHDL的元件例化语句用于建立端口之间映射关系的常用方式()

A.结构关联

B.位置关联

C.混合关联

D.名字关联

正确答案:位置关联;混合关联;名字关联


Tag:EDA技术 名字 位置 时间:2023-12-17 10:33:51