STD_LOGIC_UNSIGNED和STD_LOGIC_SIGNED程序包的区别是,STD_LOGIC_SIGNED中定义的运算符考虑到了符号,是有符号数的运算,而STD_LOGIC_UNSIGNED则正好相反。


STD_LOGIC_UNSIGNED和STD_LOGIC_SIGNED程序包的区别是,STD_LOGIC_SIGNED中定义的运算符考虑到了符号,是有符号数的运算,而STD_LOGIC_UNSIGNED则正好相反。

A.正确

B.错误

正确答案:A


Tag:EDA技术 符号 区别 时间:2022-03-24 21:06:18

相关答案