Verilog语言用()来定义符号常量。


Verilog语言用()来定义符号常量。

A、always

B、wire

C、assign

D、parameter

正确答案:parameter


Tag:常量 符号 定义 时间:2024-05-27 15:17:23