Verilog模块的端口定义时不可同时进行I/0说明。


Verilog模块的端口定义时不可同时进行I/0说明。

正确答案:错误


Tag:端口 模块 定义 时间:2024-05-05 22:43:45