在Verilog中,表示一个模块的端口既可以是输入也可以是输出的关键字是:


在Verilog中,表示一个模块的端口既可以是输入也可以是输出的关键字是:

A、output

B、inout

C、input

D、biport

正确答案:inout


Tag:端口 模块 关键字 时间:2024-05-03 11:29:58