VHDL数据类型中的时间类型只能用于仿真器,不能被综合。


VHDL数据类型中的时间类型只能用于仿真器,不能被综合。

正确答案:正确


Tag:仿真器 数据类型 类型 时间:2024-04-13 12:01:08