在VHDL程序中,以下4个部分,可以有顺序执行语句。


在VHDL程序中,以下4个部分,可以有顺序执行语句。

A.结构体(ARCHITECTURE)

B.进程(PROCESS)中的关键词BEGIN前

C.进程(PROCESS)中的关键词BEGIN后

D.程序包(PACKAGE)

正确答案:BC


Tag:数字逻辑电路 进程 关键词 时间:2024-02-08 22:25:14