VHDL中并行信号赋值语句有以下哪几种?


VHDL中并行信号赋值语句有以下哪几种?

A.选择信号赋值语句

B.条件信号赋值语句

C.简单信号赋值语句

D.函数调用返回语句

正确答案:选择信号赋值语句;条件信号赋值语句;简单信号赋值语句


Tag:赋值 语句 信号 时间:2024-01-14 21:06:11