下列标识符中根据verilogHDL语法,合法的标识符是()。


下列标识符中根据verilogHDL语法,合法的标识符是()。

A.module

B.Module

C.123a

D.7seg.v

正确答案:Module


Tag:标识符 语法 时间:2024-01-14 21:02:20