下列关于VHDL语言描述正确的是()


下列关于VHDL语言描述正确的是()

A.VHDL语言的基本结构由库和程序包、实体、结构体组成

B.在VHDL语言的基本结构中,实体可有可无

C.在VHDL语言的基本结构中,实体名必须和结构体名保持一致

D.在VHDL语言的基本结构中,结构体可有可无

正确答案:VHDL语言的基本结构由库和程序包、实体、结构体组成


Tag:数字电路 结构 语言 时间:2022-01-19 19:54:35