层次化的设计是指“自顶向下”对整个设计任务进行分层和分块的划分,降低每层的复杂度,简化每个模块的功能;或“自底向上”地对每一个有限复杂度的模块进行调用。


层次化的设计是指“自顶向下”对整个设计任务进行分层和分块的划分,降低每层的复杂度,简化每个模块的功能;或“自底向上”地对每一个有限复杂度的模块进行调用。

A.正确

B.错误

正确答案:正确


Tag:数字电子技术基础 复杂度 模块 时间:2022-01-02 21:45:49